全部 标题 作者
关键词 摘要

OALib Journal期刊
ISSN: 2333-9721
费用:99美元

查看量下载量

相关文章

更多...
VLSI Design  2014 

Design of Smart Power-Saving Architecture for Network on Chip

DOI: 10.1155/2014/531653

Full-Text   Cite this paper   Add to My Lib

Abstract:

In network-on-chip (NoC), the data transferring by virtual channels can avoid the issue of data loss and deadlock. Many virtual channels on one input or output port in router are included. However, the router includes five I/O ports, and then the power issue is very important in virtual channels. In this paper, a novel architecture, namely, Smart Power-Saving (SPS), for low power consumption and low area in virtual channels of NoC is proposed. The SPS architecture can accord different environmental factors to dynamically save power and optimization area in NoC. Comparison with related works, the new proposed method reduces 37.31%, 45.79%, and 19.26% on power consumption and reduces 49.4%, 25.5% and 14.4% on area, respectively. 1. Introduction In recent years, the 3-dimensional IC and TSV (Through-Silicon Via) technology are proposed to solve area issues. The 3-dimensional IC of Intel Ivy Bridge processor and the 16-core multicore architecture can be implemented in 22?nm [1]. Therefore, the multicore and heterogeneous systems are popular research in SoC (system-on-chip). These architectures require high throughput and performance to transfer data in a multicore SoC. Therefore, the NoC (network-on-chip) can be proposed to solve this requirement, but it derived new problems such as power consumption and area [2, 3]. The NoC architecture [1] consists of processing element (PE), network interface (NI), router, and topology which is shown in Figure 1. The PEs transfer information to NI, the NI packages the information into flits then passes to routers. The routers have difference corner router (CR), edge router (ER), and router (R); the CR, ER and R has three, four, and five I/O ports to access information then each port includes virtual channels. Router includes transmission channel, routing computation (RC), virtual channel arbiter (VA), switch arbiter (SA), and crossbar (XBAR). The flits includes header, body, and tail; the header flit has PE priority, source address, destination address, and so forth. The RC uses header flit and routing algorithms to find transmission path. VA uses two stages arbitration to select most high priority packet transmission and then will sign transmission channel. SA uses two stages arbitration and will select most body flits into XBAR to transmit. The VA will be working when the packet is arrival. The SA operation when the flit is arrival. The tail flit represents last flit, and then the router will unregister transmission channel. The router topology includes mesh, star, and fat tree [4, 5]. Figure 1: NoC architecture. Yoon

References

[1]  D. James, “Intel Ivy Bridge unveiled—the first commercial tri-gate, high-k, metal-gate CPU,” in Proceedings of the Custom Integrated Circuits Conference (CICC '12), pp. 9–12, September 2012.
[2]  C. Nicopoulos, S. Srinivasan, A. Yanamandra et al., “On the effects of process variation in network-on-chip architectures,” IEEE Transactions on Dependable and Secure Computing, vol. 7, no. 3, pp. 240–254, 2010.
[3]  M. Taassori, M. Taassori, and M. Mossavi, “Adaptive data compression in NoC architectures for power optimization,” International Review on Computers and Software, vol. 5, no. 5, pp. 540–547, 2010.
[4]  D. Bertozzi and L. Benini, “Xpipes: a network-on-chip architecture for gigascale systems-on-chip,” IEEE Circuits and Systems Magazine, vol. 4, no. 2, pp. 18–31, 2004.
[5]  S. J. Lee, K. Lee, and H. J. Yoo, “Analysis and implementation of practical, cost-effective networks on chips,” IEEE Design and Test of Computers, vol. 22, no. 5, pp. 422–433, 2005.
[6]  Y. J. Yoon, N. Concer, M. Petracca, and L. Carloni, “Virtual channels versus multiple physical networks: a comparative analysis,” in Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC '10), pp. 162–165, June 2010.
[7]  L. Benini and G. de Micheli, “Networks on chips: a new SoC paradigm,” IEEE Computer, vol. 35, no. 1, pp. 70–78, 2002.
[8]  J. C. S. Palma, L. S. Indrusiak, F. G. Moraes, R. Reis, and M. Glesner, “Reducing the power consumption in networks-on-chip through data coding schemes,” in Proceedings of the 14th IEEE International Conference on Electronics, Circuits and Systems (ICECS '07), pp. 1007–1010, December 2007.
[9]  N. Jafarzadeh, M. Palesi, A. Khademzadeh, and A. Afzali-Kusha, “Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 3, pp. 675–685, 2014.
[10]  T. Y. Lee, C. H. Huang, and X. S. Lin, “Design of buffer clock-gating architecture for network-on-chip,” in Proceedings of the 22th VLSI Design/CAD Symposium, pp. 2–5, August 2011.
[11]  R. Ezz-Eldin, M. A. El-Moursy, and A. M. Refaat, “Low leakage power NoC switch using AVC,” in Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS '12), pp. 2549–2552, Seoul, Republic of Korea, May 2012.
[12]  T. R. da Rosa, V. Larrea, N. Calazans, and F. G. Moraes, “Power consumption reduction in MPSoCs through DFS,” in Proceedings of the 25th Symposium on Integrated Circuits and Systems Design (SBCCI '12), pp. 1–6, 2012.
[13]  G. Huaxi, X. Jiang, and Z. Wei, “A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE '09), pp. 3–8, April 2009.
[14]  H. Gu, K. H. Mo, J. Xu, and W. Zhang, “A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip,” in Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI '09), pp. 19–24, Tampa, Fla, USA, May 2009.
[15]  K. Swaminathan, G. Lakshminarayanan, F. Lang, M. Fahmi, and S. B. Ko, “Design of a low power network interface for Network on chip,” in Proceedings of the 26th IEEE Canadian Conference on Electrical and Computer Engineering (CCECE '13), pp. 1–4, May 2013.
[16]  R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,” in Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA '04), pp. 188–197, 2004.
[17]  H. Katabami, H. Saito, and T. Yoneda, “Design of a GALS-NoC using soft-cores on FPGAs,” in Proceeding of the Embedded Multicore Socs (MCSoC '13), pp. 26–28, September 2013.
[18]  J. Wang, Y. Li, Q. Peng, and T. Tan, “A dynamic priority arbiter for network-on-chip,” in Proceedings of the IEEE International Symposium on Industrial Embedded Systems (SIES '09), pp. 253–256, July 2009.
[19]  S. Saponara, L. Fanucci, and M. Coppola, “Design and coverage-driven verification of a novel network-interface IP macrocell for network-on-chip interconnects,” Journal of Microprocessors and Microsystems, vol. 35, no. 6, pp. 579–592, 2011.

Full-Text

Contact Us

service@oalib.com

QQ:3279437679

WhatsApp +8615387084133