全部 标题 作者
关键词 摘要

OALib Journal期刊
ISSN: 2333-9721
费用:99美元

查看量下载量

相关文章

更多...
VLSI Design  2014 

On-Chip Power Minimization Using Serialization-Widening with Frequent Value Encoding

DOI: 10.1155/2014/801241

Full-Text   Cite this paper   Add to My Lib

Abstract:

In chip-multiprocessors (CMP) architecture, the L2 cache is shared by the L1 cache of each processor core, resulting in a high volume of diverse data transfer through the L1-L2 cache bus. High-performance CMP and SoC systems have a significant amount of data transfer between the on-chip L2 cache and the L3 cache of off-chip memory through the power expensive off-chip memory bus. This paper addresses the problem of the high-power consumption of the on-chip data buses, exploring a framework for memory data bus power consumption minimization approach. A comprehensive analysis of the existing bus power minimization approaches is provided based on the performance, power, and area overhead consideration. A novel approaches for reducing the power consumption for the on-chip bus is introduced. In particular, a serialization-widening (SW) of data bus with frequent value encoding (FVE), called the SWE approach, is proposed as the best power savings approach for the on-chip cache data bus. The experimental results show that the SWE approach with FVE can achieve approximately 54% power savings over the conventional bus for multicore applications using a 64-bit wide data bus in 45?nm technology. 1. Introduction There is a need for high-performance, high-end products to reduce their power consumption. The high-performance systems require complex design and a large power budget having considerable temperature impact to integrate several powerful components. Therefore, low energy consumption is a major design criterion in today’s design. Low energy consumption improves battery longevity and reliability, and a reduction in energy consumption lowers both the packaging and overall system costs [1]. As the technology scaling down the power consumption is also decreasing and results in more sensitivity to soft errors so reliability would be affected. There are tradeoffs between power consumption and reliability in different ways. In future work overall reliability will be discussed and it will be evaluated how it can be improved by reducing the power consumption. The primary goal of this research is for bus power minimization by reducing the switching activity while at the same time improving bus bandwidth for the compression technique and reducing the bus capacitance for the SW approach. The goal is similar to using switching activity and capacitance reduction in bus power savings; the key difference between the prior work and the work presented here is that the primary focus of this work is to explore a framework for bus power minimization approaches from an architectural

References

[1]  M. R. Stan and K. Skadron, “Power-aware computing,” IEEE Computer, vol. 36, no. 12, pp. 35–38, 2003.
[2]  N. Hatta, N. D. Barli, C. Iwama et al., “Bus serialization for reducing power consumption,” Proceedings of SWoPP, 2004.
[3]  B. Jacob and V. Cuppu, “Organizational design trade-offs at the DRAM, memory bus and memory controller level: initial results,” Tech. Rep. UMD-SCA-TR-1999-2, University of Maryland Systems & Computer Architecture Group, 1999.
[4]  Rambus Inc, Rambus Signaling Technologies: RSL, QRSL and SerDes Technology Overview, Rambus Inc, 2000.
[5]  M. Loghi, M. Poncino, and L. Benini, “Cycle-accurate power analysis for multiprocessor systems-on-a-chip,” in Proceedings of the ACM Great lakes Symposium on VLSI, pp. 401–406, April 2004.
[6]  K. Mohanram and S. Rixner, “Context-independent codes for off-chip interconnects,” in Power-Aware Computer Systems, vol. 3471 of Lecture Notes in Computer Science, pp. 107–119, 2005.
[7]  D. C. Suresh, B. Agrawal, W. A. Najjar, and J. Yang, “VALVE: variable Length Value Encoder for off-chip data buses,” in Proceedings of the International Conference on Computer Design (ICCD '05), pp. 631–633, San Jose, Calif, USA, October 2005.
[8]  M. R. Stan and W. P. Burleson, “Coding a terminated bus for low power,” in Proceedings of the 5th Great Lakes Symposium on VLSI, pp. 70–73, March 1995.
[9]  K. Basu, A. Choudhury, J. Pisharath, and M. Kandemir, “Power protocol: reducing power dissipation on off-chip data buses,” in Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture, pp. 345–355, 2002.
[10]  N. R. Mahapatra, J. Liu, K. Sundaresan, S. Dangeti, and B. V. Venkatrao, “A limit study on the potential of compression for improving memory system performance, power consumption, and cost,” Journal of Instruction-Level Parallelism, vol. 7, pp. 1–37, 2005.
[11]  A. Park and M. Farrens, “Address compression through base register caching,” in Proceedings of the Annual ACM/IEEE International Symposium on Microarchitecture, pp. 193–199, November 1990.
[12]  M. Farrens and A. Park, “Dynamic base register caching: a technique for reducing address bus width,” in Proceedings of the 18th International Symposium on Computer Architecture, pp. 128–137, May 1991.
[13]  D. Citron and L. Rudolph, “Creating a wider bus using caching techniques,” in Proceedings of the International Symposium on High Performance Computer Architecture, pp. 90–99, January 1995.
[14]  K. Sunderasan and N. Mahapatra, “Code compression techniques for embedded systems and their effectiveness,” in Proceedings of the IEEE Computer Society Annual Symposium on VLSI, pp. 262–263, February 2003.
[15]  L. Li, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and I. Kadayif, “CCC: crossbar connected caches for reducing energy consumption of on-chip multiprocessors,” in Proceedings of the Euromicro Symposium on Digital Systems Design (DSD '03), 2003.
[16]  P. P. Sotiriadis and A. P. Chandrakasan, “A bus energy model for deep submicron technology,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 10, no. 3, pp. 341–349, 2002.
[17]  P. P. Sotiriadis and A. Chandrakasan, “Low power bus coding techniques considering inter-wire capacitances,” in Proceedings of the IEEE 22nd Annual Custom Integrated Circuits Conference (CICC '00), pp. 507–510, May 2000.
[18]  J. Henkel and H. Lekatsas, “A2BC: adaptive address bus coding for low power deep sub-micron designs,” in Proceedings of the IEEE 38th Design Automation Conference, pp. 744–749, June 2001.
[19]  T. Lindkvist, “Additional knowledge of bus invert coding schemes,” in Proceedings of the IEEE 5th International Workshop on System-on-Chip for Real-Time Applications (IWSOC '05), pp. 301–303, Alberta, Canada, July 2005.
[20]  T. Lindkvist, J. L?fvenberg, and O. Gustafsson, “Deep sub-micron bus invert coding,” in Proceedings of the 6th Nordic Signal Processing Symposium (NORSIG '04), pp. 133–136, Espoo, Finland, June 2004.
[21]  K.-W. Kim, K.-H. Baek, N. Shanbhag, C. L. Liu, and S.-M. Kang, “Coupling-driven signal encoding scheme for low-power interface design,” in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 318–321, San Jose, Calif, USA, 2000.
[22]  S. Komatsu, M. Ikeda, and K. Asada, “Bus power encoding with coupling-driven adaptive code-book method for low power data transmission,” in Proceedings of the European Solid-State Circuits Conference, 2001.
[23]  J.-H. Chern, J. Huang, L. Arledge, P.-C. Li, and P. Yang, “Multilevel metal capacitance models for CAD design synthesis systems,” Electron Device Letters, vol. 13, no. 1, pp. 32–34, 1992.
[24]  K. Mohammad, A. Dodin, B. Liu, and S. Agaian, “Reduced voltage scaling in clock distribution networks,” VLSI Design, vol. 2009, Article ID 679853, 7 pages, 2009.
[25]  K. Mohammad, B. Liu, and S. Agaian, “Energy efficient swing signal generation circuits for clock distribution networks systems,” in Proceedings of the IEEE International Conference on Man and Cybernetics, pp. 3495–3498, 2009.
[26]  K. Mohammad, S. Agaian, and F. Hudson, “Efficient FPGA implementation of convolution,” in Proceedings of the IEEE International Conference on Systems, Man and Cybernetics, San Antonio, Tex, USA, October 2009, paper ID 3922.
[27]  “International Technology Roadmap for Semiconductors,” http://www.itrs.net.
[28]  H. Kawaguchi and T. Sakurai, “Delay and noise formulas for capacitively coupled distributed RC lines,” in Proceedings of the 3rd Conference of the Asia and South Pacific Design Automation (ASP-DAC '98), pp. 35–43, February 1998.
[29]  C.-L. Su, C.-Y. Tsui, and A. M. Despain, “Saving power in the control path of embedded processors,” IEEE Design and Test of Computers, vol. 11, no. 4, pp. 24–30, 1994.
[30]  M. R. Stan and W. P. Burleson, “Bus-invert coding for low-power I/O,” IEEE Transactions on VLSI Systems, vol. 3, no. 1, pp. 49–58, 1995.
[31]  L. Benini, G. de Micheli, E. Macii, D. Sciuto, and C. Silvano, “Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems,” in Proceedings of the 7th Great Lakes Symposium on VLSI, pp. 77–82, March 1997.
[32]  C. Liu, A. Sivasubramaniam, and M. Kandemir, “Optimizing bus energy consumption of on-chip multiprocessors using frequent values,” in Proceedings of the 12th Euromicro Conference on Parallel, Distributed and Network-based Proceedings (PDP '04), pp. 340–347, February 2004.
[33]  J. Yang and R. Gupta, “Frequent value locality and its applications,” ACM Transactions on Embedded Computing Systems, vol. 1, no. 1, pp. 79–105, 2002.
[34]  J. Yang, R. Gupta, and C. Zhang, “Frequent value encoding for low power data buses,” ACM Transactions on Design Automation of Electronic Systems, vol. 9, no. 3, pp. 354–384, 2004.
[35]  D. C. Suresh, B. Agrawal, J. Yang, and W. Najjar, “A tunable bus encoder for off-chip data buses,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 319–322, San Diego, Calif, USA, August 2005.
[36]  W.-C. Cheng and M. Pedram, “Memory bus encoding for low power: a tutorial,” in Proceedings of the International Symposium on Quality Electronic Design (ISQED '01), p. 1999, 2001.
[37]  T. Lang, E. Musoll, and J. Cortadella, “Extension of the working-zone-encoding method to reduce the energy on the microprocessor data bus,” in Proceedings of the IEEE International Conference on Computer Design, pp. 414–419, October 1998.
[38]  L. Benini, G. de Micheli, E. Macii, M. Poncino, and S. Quer, “System-level power optimization of special purpose applications: the beach solution,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 24–29, Monterey, Calif, USA, August 1997.
[39]  L. Benini, G. DeMicheli, E. Macii, M. Poncino, and C. Silvano, “Address bus encoding techniques for system level power optimization,” in Proceeding of the Design Automation and Test in Europe, pp. 861–866, Paris, France, February 1998.
[40]  N. Chang, K. Kim, and J. Cho, “Bus encoding for low-power high-performance memory systems,” in Proceedings of the 37th Design Automation Conference (DAC '00), pp. 800–805, June 2000.
[41]  W.-C. Cheng and M. Pedram, “Power-optimal encoding for DRAM address bus,” in Proceedings of the Symposium on Low Power Electronics and Design (ISLPED '00), pp. 250–252, July 2000.
[42]  S. Ramprasad, N. R. Shanbhag, and I. N. Hajj, “A coding framework for low-power address and data busses,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 7, no. 2, pp. 212–221, 1999.
[43]  E. Musoll, T. Lang, and J. Cortadella, “Exploiting the locality of memory references to reduce the address bus energy,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 202–207, Monterey, Calif, USA, August 1997.
[44]  Y. Shin, S.-I. Chae, and K. Choi, “Partial bus-invert coding for power optimization of system level bus,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 127–129, August 1998.
[45]  M. R. Stan and W. P. Burleson, “Two-dimensional codes for low power,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 335–340, August 1996.
[46]  S. Yoo and K. Choi, “Interleaving partial bus-invert coding for low power reconfiguration of FPGAs,” in Proceedings of the 6th International Conference on VLSI and CAD, pp. 549–552, 1999.
[47]  C. Lee, M. Potkonjak, and W. H. Mangione-Smith, “MediaBench: a tool for evaluating and synthesizing multimedia and communications systems,” in Proceedings of the 30th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 330–335, December 1997.
[48]  SimpleScalar Simulator, “SimpleScalar LLC,” http://www.simplescalar.com/.
[49]  SPEC, “SPEC CPU2000 Benchmark Suite Ver 1.2,” http://www.spec.org/osg/cpu2000/.

Full-Text

Contact Us

service@oalib.com

QQ:3279437679

WhatsApp +8615387084133