全部 标题 作者
关键词 摘要

OALib Journal期刊
ISSN: 2333-9721
费用:99美元

查看量下载量

相关文章

更多...

Open SystemC Simulator with Support for Power Gating Design

DOI: 10.1155/2012/793190

Full-Text   Cite this paper   Add to My Lib

Abstract:

Power gating is one of the most efficient power consumption reduction techniques. However, when applied in several different parts of a complex design, functional verification becomes a challenge. Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL) abstraction, based on the Common Power Format (CPF) and the Unified Power Format (UPF). The purpose of this paper is to present an OSCI SystemC simulator with support to the power gating design. This simulator is an alternative to assist the functional verification accomplishment of systems modeled in RTL. The possibility of controlling the retention and isolation of power gated functional block (PGFB) is presented in this work, turning the simulations more stable and accurate. Two case studies are presented to demonstrate the new features of that simulator. 1. Introduction Due to the new requirements that the consumer market has been imposing, the semiconductors industry suffered modifications in its manufacturing process. These evolutions introduced great challenges to the design with even more complex chips and high density of transistors in a tiny silicon area, leading to an inevitable increase of power and consequently heat dissipation in the chips [1]. Ahead of this fact, the industry and academic research centers are searching for new techniques to ease the power density problem and enable the development of low power ICs. Techniques for reducing the power consumption can be applied during the development of an IC from the design and specification system to the layout stage [1]. Among the main techniques that can be highlighted are clock gating, multi-Vth, power gating, voltage islands, logic restructuring, and dynamic voltage and frequency scaling (DVFS). These techniques can be combined together and require additional features such as power management controllers, cell isolation power domains, and/or retention registers for logical values [2–4]. It is common to use Transaction-Level Modeling (TLM) and Register-Transfer Level (RTL) to accomplish the functional verification of complex system on chip (SoC) [1]. Functional verification is a processes used in order to demonstrate that the objectives of the design are preserved after its implementation [5]. In accordance with the state of the art, the power gating verification process has been executed at the Register-Transfer Level (RTL) [6–9], primarily, based on Common Power Format (CPF) and Unified Power Format (UPF) [10–15]. The purpose of this work is to demonstrate a SystemC simulator, open source,

References

[1]  M. Keating, D. Flynn, R. Aitken, A. Gibbons, and K. Shi, Low Power Methodology Manual: For System-on-Chip Design, Springer, New York, NY, USA, 2007.
[2]  S.-H. Chen and J. Y. Lin, “Experiences of low power design implementation and verification,” in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC '08), pp. 742–747, Seoul, South Korea, March 2008.
[3]  S. Jadcherla, J. Bergeron, Y. Inoue, and D. Flynn, Verification Methodology Manual for Low Power, Synopsys, 2009.
[4]  S. Henzler, Power Management of Digital Circuits in Deep Sub-Micron CMOS Technologies, Springer, 2007.
[5]  J. Bergeron, Writing Testbenches Using System Verilog, Springer, Boston, Mass, USA, 2006.
[6]  C. Eisner, A. Nahir, and K. Yorav, “Functional verification of power gated designs by compositional reasoning,” Formal Methods in System Design, vol. 35, no. 1, pp. 40–55, 2009.
[7]  V. Viswanath, S. Vasudevan, and J. A. Abraham, “Dedicated rewriting: automatic verification of low power transformations in RTL,” in Proceedings of the 22nd International Conference on VLSI Design (VLSI '09), pp. 77–82, New Delhi, India, January 2009.
[8]  J. Kim, S. Kim, and K. H. Baek, “A low power SOC architecture for the V2.0+EDR bluetooth using a unified verification platform,” IEICE Transactions on Information and Systems, vol. E93-D, no. 9, pp. 2500–2508, 2010.
[9]  M. A. Pasha, S. Derrien, and O. Sentieys, “System level synthesis for ultra low-power wireless sensor nodes,” in Proceedings of the 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD '10), pp. 493–500, Lille, France, September 2010.
[10]  T.-W. Hsieh, P. C. Hsiao, C. Y. Liao et al., “Energy-effective design & implementation of an embedded VLIW DSP,” in Proceedings of the International SoC Design Conference (ISOCC '08), pp. I252–I255, Busan, South Korea, November 2008.
[11]  N. Joseph, S. Sabarinath, and K. Sankarapandiammal, “FPGA based implementation of high performance architectural level low power 32-bit RISC core,” in Proceedings of the International Conference on Advances in Recent Technologies in Communication and Computing (ARTCom '09), pp. 53–57, Kottayam, India, October 2009.
[12]  S.-H. Chen and J. Y. Lin, “Implementation and verification practices of DVFS and power gating,” in Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT '09), pp. 19–22, Hsinchu, Taiwan, April 2009.
[13]  G. Gammie, A. Wang, H. Mair et al., “Smart reflex power and performance management technologies for 90?nm, 65?nm, and 45?nm mobile application processors,” Proceedings of the IEEE, vol. 98, no. 2, pp. 144–159, 2010.
[14]  M. Kuwahara, “Design and verification methods of Toshiba's wireless LAN baseband SoC,” in Proceedings of the 15th Asia and South Pacific Design Automation Conference (ASP-DAC '10), pp. 457–463, Taipei, Taiwan, January 2010.
[15]  C. Trummer, C. M. Kirchsteiger, C. Steger, R. Wei?, M. Pistauer, and D. Dalton, “Automated simulation-based verification of power requirements for systems-on-chips,” in Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS '10), pp. 8–11, Vienna, Austria, April 2010.
[16]  K. R. G. da Silva, E. U. K. Melcher, I. Maia, and H. D. N. Cunha, “A methodology aimed at better integration of functional verification and RTL design,” Design Automation for Embedded Systems, vol. 10, no. 4, pp. 285–298, 2005.
[17]  G. S. Silveira, A. V. Brito, and E. U. K. Melcher, “Functional verification of power gate design in systemc RTL,” in Proceedings of the 22nd Symposium on Integrated Circuits and Systems Design (SBCCI '09), Natal, Brazil, September 2009.
[18]  T. Anderson, “Power Mode Technologies Verify Today’s SoCs,” EETimes, 2008, http://www.eetimes.com/showArticle.jhtml?articleID=206900466.
[19]  A. Mathur, Sequential Transformations for Low Power and CPF, 2008.
[20]  Mentor Graphic’s, “Mentor Graphics Low Power Solutions,” Mentor Graphic’s, 2012, http://www.mentor.com/solutions/low-power/functional-verification.
[21]  Cadence, “Cadence—Low-Power Solution,” Cadence, 2012, http://www.cadence.com/solutions/lp/pages/default.aspx.
[22]  D.-C. Juan, Y. T. Chen, M. C. Lee, and S. C. Chang, “An efficient wake-up strategy considering spurious glitches phenomenon for power gating designs,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 2, pp. 246–255, 2010.
[23]  M.-C. Lee, Y. T. Chen, Y. T. Cheng, and S. C. Chang, “An efficient wakeup scheduling considering resource constraint for sensor-based power gating designs,” in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD '09), pp. 457–460, San Jose, Calif, USA, November 2009.
[24]  A. V. Brito, M. Kühnle, M. Hübner, J. Becker, and E. U. K. Melcher, “Modelling and simulation of dynamic and partially reconfigurable systems using SystemC,” in Proceedings of the IEEE Computer Society Annual Symposium on VLSI: Emerging VLSI Technologies and Architectures (ISVLSI '07), pp. 35–40, Porto Alegre, Brazil, March 2007.
[25]  A. V. De Brito, E. U. K. Melcher, and W. Rosas, “An open-source tool for simulation of partially reconfigurable systems using SystemC,” in Proceedings of the IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI ’06), pp. 434–435, Karlsruhe, Germany, March 2006.
[26]  A. Rocha, P. Lira, Y. Ju, E. Barros, and E. Melcher, “Silicon validated ip cores designed by the brazil-ip network,” in Proceedings of the IP Based SoC Design Conference and Exhibition (IP/SOC '06), pp. 1–5, 2006.

Full-Text

Contact Us

service@oalib.com

QQ:3279437679

WhatsApp +8615387084133